Vhdl code to develop four bit shift register


Question 1) What are the various types of VHDL modelling? Describe any one with suitable example.

Question 2) What do you mean by generate statement? Write VHDL code to develop four bit shift register.

Question 3) Describe the function of various attributes used in VHDL.

Question 4) Write down the VHDL code for following logical expression. F(A, B, C) = Σm(Q, 3, 5, 6).

Question 5) Draw the diagram for priority encoder and write VHDL code for it.

Question 6)(a) Explain the package body with example.

(b) How you implement the clock in VHDL? Explain with examples.

Question 7)(a) Write a VHDL code for the instruction register.

(b) Name the different features  of 5200 FPGA family. Draw its architecture with short description of major blocks?

Question 8) Write brief note on the following:

(a) Max 700U CPLD.

(b) Operators in VHDL

Request for Solution File

Ask an Expert for Answer!!
Electrical Engineering: Vhdl code to develop four bit shift register
Reference No:- TGS08509

Expected delivery within 24 Hours