The eight functions that you will implement are


You will design a simple 8-bit ALU. 
Requirements:
The eight functions that you will implement are: add, subtract, and, or, shift left logical, less than, shift right logical, and shift right arithmetic. The ALU will perform a desired function on two 8-bit inputs (X and Y, where x0 is the lowest order bit for x, etc...) and output the result (RESULT). The function will be determined by the value of a control signal (S), as listed below. In addition to the 8 bits of output provided in RESULT, two additional outputs will be provided: unsigned overflow, and signed overflow. Unsigned overflow will have a high value iff the command was an add and unsigned overflow occurred. Signed overflow will have a high value iff the command was an add or a subtract, and signed overflow occured. (You need not worry about unsigned overflow for subtractions.)

Request for Solution File

Ask an Expert for Answer!!
Basic Computer Science: The eight functions that you will implement are
Reference No:- TGS0142436

Expected delivery within 24 Hours