Prepare a presentation on given section unconstrained array


Prepare a presentation on given section.

Unconstrained Array Types

The array types we have seen so far in this chapter are called constrained arrays, since the type definition constrains index values to be within a specific range. VHDL also allows us to define unconstrained array types, in which we just indicate the type of the index values, without specifying bounds. An unconstrained array type definition is described by the alternate syntax rule.

1. Predefined Array Types

VHDL predefines a number of unconstrained array types. In many models, these types are sufficient to represent our data. We list the predefined array types in this section.

2. Unconstrained Array Element Types

In the preceding examples of unconstrained array types, the elements were all of scalar subtypes. In general, arrays can have elements of almost any type, including other array types. The array element types can themselves be constrained or unconstrained. Strictly, we just use the term unconstrained to refer to an array type in which the top-level type has its index range unspecified and the element type, if an array type, is also unconstrained.

Attachment:- Assignment File.rar

Request for Solution File

Ask an Expert for Answer!!
Data Structure & Algorithms: Prepare a presentation on given section unconstrained array
Reference No:- TGS02384451

Expected delivery within 24 Hours