Function in assembly language and write a test program


The greatest common divisor of two integers is the largest integer that will evenly divide both integers.
The GCD algorithm involves integer division in a loop, described by the following C++ code:
int GCD(int x, int y) { x = abs(x); // absolute value y = abs ; do { int n = x % y; x = y; y = n; } while y > 0; return y; }
Implement this function in assembly language and write a test program That calls the function several times, passing it different values. Display all results on the screen and include screen shots of the outputs.

Request for Solution File

Ask an Expert for Answer!!
Assembly Language: Function in assembly language and write a test program
Reference No:- TGS0108665

Expected delivery within 24 Hours