Write vhdl code for the system use two processes the first


A block diagram for a 16-bit 2's complement serial subtracter is given here. When St = 1, the registers are loaded and then subtraction occurs. The shift counter, C, produces a signal C15 = 1 after 15 shifts. V should be set to 1 if an overflow occurs. Set the carry flip-flop to 1 during load in order to form the 2's complement. Assume that St remains 1 for one clock time.

(a) Draw a state diagram for the control (two states).

(b) Write VHDL code for the system. Use two processes. The first process should determine the next state and control signals; the second process should update the registers on the rising edge of the clock.

211_163747ba-9dbd-4255-ad30-1dec42e1130e.png

Request for Solution File

Ask an Expert for Answer!!
Electrical Engineering: Write vhdl code for the system use two processes the first
Reference No:- TGS02164800

Expected delivery within 24 Hours