write a verilog code to


Write  a  verilog  code  to  swap  contents  of  two registers  with  and without  a  temporary register?

With temp reg :

always @ (posedge clock)

begin

temp=b;

b=a;

a=temp;

end

Without temp reg:

always @ (posedge clock)

begin

a <= b;

b <= a;

end

 

Request for Solution File

Ask an Expert for Answer!!
Computer Engineering: write a verilog code to
Reference No:- TGS0356112

Expected delivery within 24 Hours