Write a procedure that logs the history of values of a


1. Write a procedure that has an integer signal and a file name as parameters. Each line of the file contains a delay value and an integer. The procedure reads a line from the file, waits for the delay time, assigns the integer value to the signal, and then reads the next line. The procedure should return when end-of-file is reached.

2. Write a procedure that logs the history of values of a bit-vector signal to a text file. Each time the signal changes, write the current time and signal value to the file. VHDL has a built in function called NOW that returns the current simulation time when it is called.

Request for Solution File

Ask an Expert for Answer!!
Electrical Engineering: Write a procedure that logs the history of values of a
Reference No:- TGS02164270

Expected delivery within 24 Hours