state the example of begin endmodule


State the Example of begin end

module sequential();

reg a;

initial begin

$monitor ("‰g a = ‰b", $time, a);

#10 a = 0;

#11 a = 1;

#12 a = 0;

#13 a = 1;

#14 $finish;

end

endmodule

Simulator Output

0 a = x

10 a = 0

21 a = 1

33 a = 0

46 a = 1

 

Request for Solution File

Ask an Expert for Answer!!
Computer Engineering: state the example of begin endmodule
Reference No:- TGS0356134

Expected delivery within 24 Hours