Notice that the circuit does not reset to the start state


Design a Mealy sequential circuit which investigates an input sequence X and will produce an output Z = 1 for any input sequence ending in 0010 or 100.
Example:
X = 1 1 0 0 1 0 0 1 0 1 0 0 1 0 1
Z = 0 0 0 1 0 1 1 0 1 0 0 1 0 1 0
Notice that the circuit does not reset to the start state when an output of Z = 1 occurs. However, your circuit should have a start state and should be provided with a method for manually resetting the flip-flops to the start state. A minimum solution requires six states. Design your circuit using NAND gates, NOR gates, and three D Flip-Flops. Any solution which is minimal for your state assignment and uses 10 or fewer gates and inverters is acceptable. (Assign 000 to the start state.)
Test Procedure: First, check out your state table by starting in each state and making sure that the present output and the next state are correct for each input. Then, starting in the proper initial state, determine the output sequence for each of the following input sequences:
(1) : 0 0 1 1 0 1 0 0 1 0 1 0 1 0 0 0 1 0 0 1 0 0 1 0

Request for Solution File

Ask an Expert for Answer!!
Electrical Engineering: Notice that the circuit does not reset to the start state
Reference No:- TGS0614202

Expected delivery within 24 Hours