Implement the following state table using a rom and two d


1. Given F = A'B' + BC' and G = AC + B', write a complete VHDL module that realizes the functions F and G using an 8-word × 2-bit ROM. Include the array type declaration and the constant declaration that defines the contents of the ROM.

2. Implement the following state table using a ROM and two D flip-flops. Use a straight binary state assignment.

(a) Show the block diagram and the ROM truth table. Truth table column headings should be in the order Q1 Q0 X D1 D0 Z.

(b) Write VHDL code for the implementation. Use an array to represent the ROM table, and use two processes.

1894_46053432-d900-4837-b7e8-2e310ff3a574.png

Request for Solution File

Ask an Expert for Answer!!
Electrical Engineering: Implement the following state table using a rom and two d
Reference No:- TGS02164843

Expected delivery within 24 Hours